Skip to Main Content

Generative AI: Using artificial intelligence to make human impact.Learn how

How AGTB Revolutionized Trade Banking to Bridge the Trade Finance Gap
Play Video

Anglo-Gulf Trade Bank (AGTB) is a joint venture between AGTB Holdings and Mubadala Investment. It was founded on the belief that the latest advances in technology, combined with innovative business and operational models, present great opportunities to reimagine and simplify trade banking. 

It happily took on the challenge of becoming the world’s first fully digital trade finance bank to serve the needs of a wider variety of businesses in a digital-first world—and did it at speed, from concept to live in a matter of months.

skyline

The Imperative for Change

Many trade banks rely on the common but problematic operating models of traditional corporate banks, with laborious and costly manual processes, outdated systems and siloed information. To reinvent trade banking for the future, AGTB needed to look past everything that had come before.

As a greenfield bank, AGTB was able to start from the ground up—liberated by the lack of legacy systems, operating models and an old-fashioned business mindset. With a fresh perspective, they were open to total innovation discovery.

Cutting-edge technologies and API connectivity became the center of attention. How could it all be used to manage the information exchange between internal and client-servicing platforms?

We helped AGTB define a single source of “truth” for client data. Through discovery, they gained the necessary technical insights and built the framework for data-driven operations to successfully meet reporting and analytics requirements.

To ready the bank for launch and enable it to adapt to future consumer and market shifts, the technology architecture needed to be responsive and evolutionary in nature. It also needed to be agile so all future engineering could be released quickly to meet the fast-evolving business and customer needs.

The Transformative Solution

We provided the expertise, agile program management and systems integration, leading a consortium of partners including Microsoft whose technology powered the vision and Mambu who provided the cloud-native core banking solution enabling rapid deployment of the banking services along with seamless integration with the rest of the digital banking platform.

By leveraging the Microsoft Azure cloud environment, we gained the cyber security and infrastructure resilience AGTB needed to instill customer confidence and meet the company’s high security and performance requirements. We collaborated closely with Microsoft cloud architects to bring the robust vision and architectural design to life—working through 288 connection points, logical and business workflows, as well setting up the appropriate infrastructure environments to support it.

Collaboration and cross-functional understanding were pivotal in making sure multiple interdependent streams could work in parallel effectively. AGTB has worked hard from the beginning developing the right culture, so their team could embrace its new fully digital experience.

“By using data smarter, collaborating openly and developing an evolving ecosystem that is driven by our clients’ needs, we can make business easier and also blur the boundaries of banking to deliver new value to our clients.”
Daniel Gould, Deputy CEO, Anglo-Gulf Trade Bank

The Business Impact

AGTB's unique banking value proposition has come to life thanks to a multi-industry collaborative ecosystem bringing together fintech expertise and the creative foresight to disrupt the status quo. 

For clients, AGTB’s radically different business model redefines data flows and interactions in a seamless and integrated manner, reducing time and costs, while mitigating risk through an efficient and intuitive service offering.

The best-in-class, 100 percent digital banking architecture will, over time offer a broad range of digital payments, multi-currency accounts, FX and other transaction services to corporate clients and fintech firms in the United Arab Emirates (UAE) and the Abu Dhabi Global Market (ADGM). Cutting-edge digital capabilities, such as multiple-rail payment processing and transaction monitoring, will also be made available via flexible API connectivity to a range of clients.

The result? AGTB is now the world’s first digital trade finance bank. It was built from the ground up at half the expected cost and brought to market incredibly quickly, in half the time of any other player in the market. AGTB is now on course to achieve its goal of encouraging greater financial inclusion in the Middle East, United Kingdom and Asia.

Award–winning Work

  • Anglo-Gulf Trade Bank & Publicis Sapient: Trading Systems Innovation
  • Anglo-Gulf Trade Bank & Publicis Sapient: Best New Trade Finance Bank UAE
  • Anglo-Gulf Trade Bank & Publicis Sapient: Trade Finance
Simon Drury
Simon Drury
Director Marketing, Financial Services